Questions?
[x] Year
2011

Content Type
Other 115
Events 102

SRC Program
GRC 158
SRC 55
3D EC 5
FCRP 5
NRI 4

Center
C2S2 5
FENA 5
GSRC 5
IFC 5
MSD 5
MuSyC 5

Thrust/Theme
NEM – Nanoengineered Materials 1
PAT – Patterning 1

GRC Science Area
CADTS – Computer Aided Design & ... 27
ICSS – Integrated Circuit & Syst... 27
IPS – Interconnect & Packaging S... 10
NMS – Nanomanufacturing Sciences 6
CSR – Cross-disciplinary Semicon... 5
DS – Device Sciences 5

1 through 30 of 217 similar documents, best matches first.   
Results by:Thunderstone Page: 1 2 3 4 5 6 7 8 next >>
1: pdf2011 Systems Design Needs
Integrated Circuit and Systems Sciences Research Needs: Integrated System Design December 2011 The SRC GRC member companies are pleased to release this document that describes ...
URL: https://www.src.org/.../icss/research-needs/2011/systems.pdf
Modified: 2011-12-07 - 41KB
Find Similar Documents
2: pdfS200807_research_needs_PKG.doc
Packaging Thrust Strategic Needs Introduction As silicon technology continues to evolve along Moore's Law, the challenges to current and future packaging technology have continued ...
URL: https://www.src.org/...ips/research-needs/2008/packaging.pdf
Modified: 2011-02-03 - 93KB
Find Similar Documents
3: pdfMicrosoft Word - Packaging Research Needsv2.doc
Packaging Thrust Strategic Needs Introduction As silicon technology continues to evolve along Moore's Law, the challenges to current and future packaging technology have continued ...
URL: https://www.src.org/...ips/research-needs/2007/packaging.pdf
Modified: 2011-02-03 - 95KB
Find Similar Documents
4: pdfSRC/NSF Design Forum - Position Statement
A Position Statement in the Forum on Future Directions for Design Automation Research Jason Cong UCLA Computer Science Department http://cadlab.cs.ucla.edu/~cong There are many ...
URL: https://www.src.org/...764/jason-cong-position-statement.pdf
Modified: 2011-08-02 - 35KB
Find Similar Documents
5: pdfPowerPoint Presentation
RTI International High Performance Processing Systems Enabled by 3D Integration Bob Conn May 5, 2011 1 Bob Conn, RTI International, rconn@rti.org, bobconn@ieee.org RTI ...
URL: https://www.src.org/calendar/e004357/03-conn.pdf
Modified: 2011-05-04 - 1.1MB
Find Similar Documents
6: pdf2010 Circuit Design Needs Categories
ICSS Research Needs: Integrated System Design 2010 The SRC GRC member companies are pleased to release this document that describes their research needs in the thrust of Integrated ...
URL: https://www.src.org/.../icss/research-needs/2010/systems.pdf
Modified: 2011-02-03 - 84KB
Find Similar Documents
7: pdf2007 System Design Needs
ICSS Research Needs: Integrated System Design The ITRS cites design cost due to exploding silicon and system complexities as the key factor with the potential to limit the ...
URL: https://www.src.org/.../icss/research-needs/2007/systems.pdf
Modified: 2011-02-03 - 18KB
Find Similar Documents
8: pdf2011 Verification Needs Document Final
SRC Research Needs in Computer-Aided Design and Test Verification 2011-2012 CADTS Research Needs: Verification This member-generated document describes needs and problems SRC ...
URL: https://www.src.org/.../research-needs/2011/verification.pdf
Modified: 2011-08-25 - 122KB
Find Similar Documents
9: pdf2002-2007 ICSS Research Needs Document
SRC Integrated Circuits and System Sciences (ICSS) Research Needs Document for 2002-2007 ICSS Science Area Coordinating Committee (SACC) June 1, 2002 Purpose This document outlines ...
URL: https://www.src.org/.../icss/research-needs/2002/systems.pdf
Modified: 2011-02-03 - 35KB
Find Similar Documents
10: pdf2009 Summer Study: SRC-GRC Strategic Planning Summary
GRC Summer Study GRC Summer Study SRC-GRC Strategic Planning Summary g g y Steve Hillenius June 29, 2009 , Business Planning and Execution Process/Cycle / y SRC ETAB Biennial ...
URL: https://www.src.org/...dar/e003506/02-sessioni-hillenius.pdf
Modified: 2011-06-17 - 104KB
Find Similar Documents
11: pdfThe GRC division of SRC focuses on research in a timeframe 5...
Packaging Needs Document A. Introduction Processing architectures need to continue to take advantage of silicon technology dimensional scaling to provide performance enhancements, ...
URL: https://www.src.org/...ips/research-needs/2011/packaging.pdf
Modified: 2011-03-22 - 89KB
Find Similar Documents
12: pdf2012 - 2016 SRC-GRC Strategic Plan - DRAFT II
1 2012-2016 SRC-GRC Strategic Plan Limited Distribution Notice Distribution of the material in this document is limited to employees of SRC-GRC member companies or participating ...
URL: https://www.src.org/...ecutive/2012-2016strat-plan-draft.pdf
Modified: 2011-06-07 - 3.7MB
Find Similar Documents
13: pdfResearch Needs in Computer-Aided Design: Logic and Physical...
Research Needs in Computer-Aided Design: Logic and Physical Design and Analysis Logic synthesis and physical design, once considered separate topics, have grown together with the ...
URL: https://www.src.org/...grc/cadts/research-needs/2001/lpd.pdf
Modified: 2011-01-26 - 28KB
Find Similar Documents
14: pdfPresentation Title
PAGE 1 QUALCOMM CONFIDENTIAL AND PROPRIETARY QUALCOMM CONFIDENTIAL AND PROPRIETARY qctconnect.com 3D Through Si Stacking Technology - an IFM Perspective - RikoR May 11 PAGE 2 ...
URL: https://www.src.org/calendar/e004357/02-radojcic.pdf
Modified: 2011-05-04 - 2.4MB
Find Similar Documents
15: pdf2009 Summer Study: Co-Design of Future Architectures with 3D...
IBM Research Co-Design of Future Architectures with 3D Integration Michael Rosenfield Di t VLSI S t Director, VLSI Systems IBM Research Division Yorktown Heights, NY 6 30 09 ...
URL: https://www.src.org/...9s-study-siii-codesign-rosenfield.pdf
Modified: 2011-06-17 - 1.5MB
Find Similar Documents
16: pdfSRC Research Needs in Logic and Physical Design and Analysis...
SRC Research Needs in Logic and Physical Design and Analysis August 2002 I. Prologue The research needs document for physical design was first created in draft copy in 1997. This ...
URL: https://www.src.org/.../cadts/research-needs/2002/design.pdf
Modified: 2011-02-03 - 120KB
Find Similar Documents
17: pdfFinal Report - Workshop on Failure and Uncertainty in Mixed-Signal...
National Science Foundation Workshop on Failure and Uncertainty in Mixed-Signal Circuits and Systems Arlington, Virginia July 8-9, 2010 Principal Investigators: Ralph Cavin, ...
URL: https://www.src.org/calendar/e004025/final-report.pdf
Modified: 2011-06-01 - 914KB
Find Similar Documents
18: pdfResearch Needs for Circuit Design
Research Needs for Circuit Design Page 1 of 9 January 31, 2001 Rev 2 Research Needs for Circuit Design 2000 Task Force on Circuit Design, SRC Design Sciences Semiconductor Research ...
URL: https://www.src.org/...icss/research-needs/2001/circuits.pdf
Modified: 2011-02-03 - 35KB
Find Similar Documents
19: pdfVIA-2020 Forum - Panel 1 - Presentation - Rabaey
Jan M. Rabaey, Donald O. Pederson Distinguished Professor Director Gigascale Systems Research Center (GSRC) Scientific Co-Director Berkeley Wireless Research Center (BWRC) ...
URL: https://www.src.org/...ar/e003362/p1-presentation-rabaey.pdf
Modified: 2011-04-18 - 2.5MB
Find Similar Documents
20: pdf2010 Test Needs Document
1 Research Challenges in Test and Testability Semiconductor Research Corporation January 2010 Introduction Test and design for testability are recognized today as critical to a ...
URL: https://www.src.org/...rc/cadts/research-needs/2010/test.pdf
Modified: 2011-02-03 - 198KB
Find Similar Documents
21: SRC: Call for Research - High Performance Digital Circuit Design...
Call for Research in Analog, Digital and Mixed-Signal Circuit Design Introduction The SRC announces a call for original research in all aspects of Circuit Design. Details of ...
URL: https://www.src.org/compete/archive/grc/2001-circuits/
Modified: 2011-02-03 - 26KB
Find Similar Documents
22: pdfVIA-2020 Forum - Panel 3 - Moore
The Role of Accelerated Computing in the Multi-Core Era Chuck Moore Senior Fellow Advanced Micro Devices May 17, 2006 The Role of Accelerated Computing in the Multi-core Era 2 7/...
URL: https://www.src.org/calendar/e003362/p3-moore.pdf
Modified: 2011-04-18 - 511KB
Find Similar Documents
23: pdfMemory Needs
1 Research Needs for Memory Technologies Oct. 2008 Device Sciences, GRC Semiconductor Research Corporation P.O. Box 12053 Research Triangle Park, NC 27709-2053 Requirements and ...
URL: https://www.src.org/...ch-needs/2008/memory-technologies.pdf
Modified: 2011-02-03 - 33KB
Find Similar Documents
24: pdfFCRP Program Directions and Promising Outputs
FCRP Program Directions & Promising Outputs 2009 GRC ETAB Summer Study Betsy Weitzman g g p y y June 29, 2009 Exec. VP, SRC and Exec. Dir., FCRP (919) 941-9426 ...
URL: https://www.src.org/...ndar/e003506/03-sessioni-weitzman.pdf
Modified: 2011-06-17 - 432KB
Find Similar Documents
25: pdfResearch Needs for Device Sciences Modeling and Simulation
1 Research Needs for Memory Technologies June 2011 Device Sciences, SRC GRC Semiconductor Research Corporation P.O. Box 12053 Research Triangle Park, NC 27709-2053 Background, ...
URL: https://www.src.org/...grc/ds/research-needs/2011/memory.pdf
Modified: 2011-06-28 - 54KB
Find Similar Documents
26: pdfMicrosoft PowerPoint - SessionII_agenda_ver2_burnham updated...
2009 GRC ETAB Summer Study La Quinta Golf Resort, CA June 29-30, 2009 Session II: Systems, Software, and Applications Research for the Semiconductor Industry Working Committee  ...
URL: https://www.src.org/...ndar/e003506/01-sessionii-burnham.pdf
Modified: 2011-06-17 - 150KB
Find Similar Documents
27: pdfResearch Needs for CADTS November 2007
SRC Research Needs in Computer-Aided Design Tools November 2007 The Semiconductor Research Corporation's Global Research Collaboration is seeking innovative research leading to ...
URL: https://www.src.org/...c/cadts/research-needs/2007/needs.pdf
Modified: 2011-02-03 - 18KB
Find Similar Documents
28: pdfCADTS LPD Needs Document
Logic, Physical, and System Design Tools SRC GRC CADTS Needs May 2011 The Computer-Aided Design and Test Sciences area of the Semiconductor Research Corporation's Global Research ...
URL: https://www.src.org/...grc/cadts/research-needs/2010/lpd.pdf
Modified: 2011-05-26 - 26KB
Find Similar Documents
29: pdf2007 Circuit Design Need
ICSS Research Needs: Circuit Design Addressing the Grand Challenges listed in the 2006 International Technology Roadmap for Semiconductors (http://public.itrs.net) requires the ...
URL: https://www.src.org/...icss/research-needs/2007/circuits.pdf
Modified: 2011-02-03 - 16KB
Find Similar Documents
30: pdf2004 Systems Needs Document.doc
1 ICSS Research Needs: Integrated System Design The ITRS identifies Design Cost, due to exploding Silicon and System Complexities, as the key factor with the potential to limit ...
URL: https://www.src.org/.../icss/research-needs/2004/systems.pdf
Modified: 2011-02-03 - 15KB
Find Similar Documents
1 through 30 of 217 similar documents, best matches first.   
Results by:Thunderstone Page: 1 2 3 4 5 6 7 8 next >>